26.1 C
Delhi

Intel is back: announces new process roadmap through 2025

The silicon giant is back in business under the new CEO, and its demonstration came up today at its Intel Accelerated event. Intel revealed one of the most detailed processes and packaging technology roadmaps the company has ever provided.

Intel had been stuck with the 14nm process for quite some time which helped competitors to grow and get ditched by Apple. In 2021, they finally got aggressive with the 10nm SuperFin process, which is a good sign, while dropping ‘++’ & ‘SuperFin’ brandings from their naming schemes.

Intel is back: announces new process roadmap through 2025
Ann Kelleher, senior vice president and general manager of Technology Development at Intel Corporation, speaks during a virtual presentation as part of the “Intel Accelerated” event on July 26, 2021. At the event, Intel presented the company’s future process and packaging technology roadmaps. (Credit: Intel Corporation)

The company today, showcased a series of foundational innovations that will power products through 2025 and beyond. Soon, Intel has both of its 10nm and 14nm chips within mobile and desktop platforms get replaced later this year when Intel announces Alder Lake and Sapphire Rapids lineup.

Intel is back: announces new process roadmap through 2025

Building on Intel’s unquestioned leadership in advanced packaging, we are accelerating our innovation roadmap to ensure we are on a clear path to process performance leadership by 2025,” Intel CEO Pat Gelsinger said during the global “Intel Accelerated” webcast. “We are leveraging our unparalleled pipeline of innovation to deliver technology advances from the transistor up to the system level. Until the periodic table is exhausted, we will be relentless in our pursuit of Moore’s Law and our path to innovate with the magic of silicon.”

- Advertisement -TechnoSports-Ad
  • Intel is back: announces new process roadmap through 2025
  • Intel is back: announces new process roadmap through 2025
  • Intel is back: announces new process roadmap through 2025

Intel 7 (previously 10nm Enhanced SuperFin)

Delivering an approximately 10% to 15% performance-per-watt increase over Intel 10nm SuperFin through FinFET transistor optimizations, including increased strain, more low-resistance materials, novel high-density patterning techniques, streamlined structures and better routing with a higher metal stack.

Intel 7 will be featured in products such as Alder Lake for clients in 2021 and Sapphire Rapids for the data centre, which is expected to be in production in the first quarter of 2022. The new naming scheme does make things more simple for both customers and its client and renaming its current 10nm Enhanced SuperFin to Intel 7 makes sense but don’t think it means 7nm.

- Advertisement -TechnoSports-Ad
  • Intel is back: announces new process roadmap through 2025
  • Intel is back: announces new process roadmap through 2025
  • Intel is back: announces new process roadmap through 2025
  • Intel is back: announces new process roadmap through 2025

Intel 4 (previously Intel 7nm)

Providing an approximately 20% performance-per-watt increase over Intel 7, Intel 4 is the first Intel FinFET node to fully embrace extreme ultraviolet lithography (EUV), which involves a highly complex optical system of lenses and mirrors that focuses a 13.5nm wavelength of light to print incredibly small features on silicon.

This offers a vast improvement over prior technology that used light at a wavelength
of 193nm. Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for clients and Granite Rapids for the data centre.

Obviously, we all look forward to Intel’s 7nm node which will give substantial improvement over its current 10nm process but we have to wait more than a year to see them in action.

Intel is back: announces new process roadmap through 2025

Intel 3 (An optimized Intel 4?)

Continuing to reap the benefits of FinFET, Intel 3 is expected to deliver around an 18% performance-per-watt increase over Intel 4. This is a higher level of transistor performance improvement than typically derived from a standard full node.

Intel 3 implements a denser, higher performance library; increased
intrinsic drive current; an optimized interconnect metal stack with reduced resistance; and increased use of EUV compared with Intel 4. Intel 3 will be ready to begin manufacturing products in the second half of 2023.

Intel is optimistic about the next-gen silicon after 7nm and looks forward to refining them even further and we have to wait 3 years to see them in action.


Qualcomm and Intel join hands to built chips together

“Moore’s Law is alive and well. We have a clear path for the next decade of innovation to go to ‘1’ and well beyond. I like to say that, until the periodic table is exhausted, Moore’s Law isn’t over and we will be relentless in our path to innovate with the magic of silicon.”

— Pat Gelsinger

Intel 20A (A promising future)

Ushering in the angstrom era with two breakthrough technologies, PowerVia and RibbonFET. PowerVia is Intel’s unique, industry-first implementation of backside power delivery – eliminating the need for power routing on the front side of the wafer and providing optimized signal routing while reducing droop and lowering noise.

  • Intel is back: announces new process roadmap through 2025
  • Intel is back: announces new process roadmap through 2025

RibbonFET, Intel’s implementation of a gate-all-around transistor, is the company’s first new transistor architecture since it pioneered FinFETs in 2011, delivering faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. Intel 20A is expected to ramp in 2024.

Qualcomm could be one of the next big clients for Intel and it would use the so-called Intel 20A process nodes. So, the process nodes will be measured in angstroms, rather than nanometers as an angstrom is a tenth of a nanometer.

2025 and Beyond

Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is also working to define, build and deploy next-generation High NA EUV, and expects to receive the first production tool in the industry. Intel is partnering closely with ASML to assure the success of this industry breakthrough beyond the current generation of EUV.

  • Foveros Omni ushers in the next generation of Foveros technology by providing unbounded flexibility with performance 3D stacking technology for die-to-die interconnect and modular designs. Foveros Omni allows die disaggregation, mixing multiple top die tiles with multiple base tiles across mixed fab nodes and is expected to be ready for volume manufacturing in 2023.
  • Foveros Direct moves to direct copper-to-copper bonding for low-resistance interconnects and blurs the boundary between where the wafer ends and where the package begins. Foveros Direct enables sub-10-micron bump pitches, providing an order of magnitude increase in the interconnect density for 3D stacking, opening new concepts for functional die partitioning that were previously unachievable. Foveros Direct is complementary to Foveros Omni and is also expected to be ready in 2023.

Here are some YouTube videos Intel has recently shared:

via Intel

LEAVE A REPLY

Please enter your comment!
Please enter your name here

This site uses Akismet to reduce spam. Learn how your comment data is processed.

Raunak Saha
Raunak Saha
A cs engineer by profession but foodie from heart. I am tech lover guy who has a passion for singing. Football is my love and making websites is my hobby.
TechnoSports-Ad

Popular

TechnoSports-Ad

Related Stories

More from author

Vi revises existing ₹129 and ₹298 plans with more benefits as of 29th April 2024

Vodafone Ide­a or Vi, also known as Vi, recently unveile­d their revamped ₹129 and ₹298 plans, aiming to offer enhanced value amidst the­ intensifying...

What is the Airtel Minimum Recharge Plan as of April 29?

Know what the Airtel Minimum Recharge Plan is: The Ultimate Guide Bharti Airtel, India's second-largest telecom operator with millions of active customers, is committed to...

Airtel Balance Check Codes: Top 5 Methods as of April 29

Airtel Balance Check Codes: A Total Guide in 2024 As an Airtel customer, it's crucial to be aware of your remaining balance to avoid unexpected...

Lava’s Prowatch ZN and VN smartwatches are now on sale

In a marketplace where innovation and reliability are paramount, Lava has once again set the bar high with the launch of its latest smartwatch...